Welcome![Sign In][Sign Up]
Location:
Search - verilog code for dc motor

Search list

[VHDL-FPGA-VerilogVerilogHDL_DC_Motor_control

Description: 采用Verilog HDL语言编写的直流电动机控制系统,主要完成直流电动机的速度控制,典型的三闭环(位置、转速和电流反馈)直流电机控制系统,对控制类相关的学习者价值很高-Using Verilog HDL language of the DC motor control system, mainly the completion of DC motor speed control, a typical three-loop (position, speed and current feedback) DC motor control system for control-type high-value related to the learner
Platform: | Size: 1405952 | Author: 廖耿耿 | Hits:

[Embeded-SCM DevelopPICRoboconCode

Description: C source code for PIC microcontroller to controll input/output of PIC Robocon board. Read IR Sensor LCD control Button DC motor
Platform: | Size: 23552 | Author: Nguyen Chi Linh | Hits:

[VHDL-FPGA-Verilogmotor_PWM_Verilog

Description: 直流电机的verilog hdl 代码,适合初学者参考-DC motor verilog hdl code, suitable for beginners reference
Platform: | Size: 5030912 | Author: 黄涛 | Hits:

[VHDL-FPGA-VerilogPWM_moto_ctrl

Description: verilog 代码实现 直流电机PWM控制 内有整个完整工程 和modelsim仿真文件-verilog code for PWM DC motor control to achieve within the whole integrity of engineering and modelsim simulation files
Platform: | Size: 949248 | Author: 文一左 | Hits:

[VHDL-FPGA-Verilog(www.entrance-exam.net)-GEN.-APP

Description: verilog hdl code for speed control of dc motor
Platform: | Size: 406528 | Author: syed rafeh hussaini | Hits:

CodeBus www.codebus.net